Shuriken Video

Find all your GameCube topics here
andre104623
Posts: 694
Joined: Wed May 07, 2014 2:24 pm

Re: Shuriken Video

Post by andre104623 » Sun Jun 21, 2015 4:02 pm

HyperIris wrote:
andre104623 wrote: Pal or ntsc-u/j? And very good job
by my test, I have many GCs, include NTSC-u/j and PAL, they all works.

on my TV, the TV says NTSC-u/j display 480i, and PAL display 576i under interlaced scanning mode, and all shows 480p input signal under progressive mode.
We ntsc-u users are having problems with gcvideo-dvi code which this design is based on. That's why I asked.

Im guessing you built that one yourself if so can you provide a parts list since happy bunny has me blocked
HyperIris
Posts: 37
Joined: Fri Jul 30, 2010 12:58 pm
Location: China
Contact:

Re: Shuriken Video

Post by HyperIris » Sun Jun 21, 2015 4:15 pm

andre104623 wrote:
HyperIris wrote:
andre104623 wrote: Pal or ntsc-u/j? And very good job
by my test, I have many GCs, include NTSC-u/j and PAL, they all works.

on my TV, the TV says NTSC-u/j display 480i, and PAL display 576i under interlaced scanning mode, and all shows 480p input signal under progressive mode.
We ntsc-u users are having problems with gcvideo-dvi code which this design is based on. That's why I asked.

Im guessing you built that one yourself if so can you provide a parts list since happy bunny has me blocked
I'll show you some pics durning my working on this:

NTSC-J GC, without dvd drive, so hang at power on:
Image
Image

NTSC-U GC, but it too old that can't read the disk:
Image
Image

The SMS pic was taken form my PAL GC.
Image
Wild Scientist
andre104623
Posts: 694
Joined: Wed May 07, 2014 2:24 pm

Re: Shuriken Video

Post by andre104623 » Sun Jun 21, 2015 6:15 pm

Very cool pics but do you have a list of parts because nowhere in happy_bunny's files could I find a .BOM file for parts which is holding me back from building
HyperIris
Posts: 37
Joined: Fri Jul 30, 2010 12:58 pm
Location: China
Contact:

Re: Shuriken Video

Post by HyperIris » Mon Jun 22, 2015 1:29 am

the only parts that don't have product numbuer are the two LDOs, the 5V one is 1117-5.0 and 1.2V is MCP1826s-12. see posts in page 4.

so I don't think "no BOM file in happy_bunny's files", reference the schemtic for all other parts.
Wild Scientist
HyperIris
Posts: 37
Joined: Fri Jul 30, 2010 12:58 pm
Location: China
Contact:

Re: Shuriken Video

Post by HyperIris » Mon Jun 22, 2015 1:32 am

BTW, the SPI flash 25P40 is much larger for xc3s50A.
IMO, may be 25P10 is enough (cheaper?).
Wild Scientist
happy_bunny
Posts: 106
Joined: Mon Mar 09, 2015 10:57 pm

Re: Shuriken Video

Post by happy_bunny » Mon Jun 22, 2015 6:46 am

@ HyperIris

nice work :D very cool
by my test, I have many GCs, include NTSC-u/j and PAL, they all works.
thats really good news ;) I only tested on PAL gc! yes reference the schematic for now I will update my webpage with the full parts list tonight I made a start this morning but run out of time :(

Updated my webpage with v2 PCB artwork, if you want to fit the PCB into the cube (tested last night seems to work fine.) some pics of v2
shuriken_video_5.jpg
(1.72 MiB) Not downloaded yet
shuriken_video_8.jpg
(2.05 MiB) Not downloaded yet
more pics and bottom half of 3d case on my webpage.
andre104623
Posts: 694
Joined: Wed May 07, 2014 2:24 pm

Re: Shuriken Video

Post by andre104623 » Mon Jun 22, 2015 1:17 pm

happy_bunny wrote:@ HyperIris

nice work :D very cool
by my test, I have many GCs, include NTSC-u/j and PAL, they all works.
thats really good news ;) I only tested on PAL gc! yes reference the schematic for now I will update my webpage with the full parts list tonight I made a start this morning but run out of time :(

Updated my webpage with v2 PCB artwork, if you want to fit the PCB into the cube (tested last night seems to work fine.) some pics of v2
shuriken_video_5.jpg
shuriken_video_8.jpg
more pics and bottom half of 3d case on my webpage.
Cool thank you, I'm only missing some little caps and resistors. Can you put the corresponding number on the board ( like U2 and U4 ) to the parts list. The XC3S50A is a 100-pin FPGA not the 144-pin correct?
HyperIris
Posts: 37
Joined: Fri Jul 30, 2010 12:58 pm
Location: China
Contact:

Re: Shuriken Video

Post by HyperIris » Mon Jun 22, 2015 4:17 pm

andre104623 wrote:
happy_bunny wrote:@ HyperIris

nice work :D very cool
by my test, I have many GCs, include NTSC-u/j and PAL, they all works.
more pics and bottom half of 3d case on my webpage.
Cool thank you, I'm only missing some little caps and resistors. Can you put the corresponding number on the board ( like U2 and U4 ) to the parts list. The XC3S50A is a 100-pin FPGA not the 144-pin correct?
happy_bunny's schemtic provides enough infomation for building this board, I reallly don't understand why you still ask for "part list".
If you can't find is it a 100-pin or 144-pin xc3s50A, I think the much more difficult is soldering it on the board for you, and later you have to programming/downlaod code.
Wild Scientist
andre104623
Posts: 694
Joined: Wed May 07, 2014 2:24 pm

Re: Shuriken Video

Post by andre104623 » Mon Jun 22, 2015 4:43 pm

Your right I see what I need sorry about that. I have a question for the JP1. When I'm ready to program I flash the xilinx IC FPGA first then jumper the JP1 to put the xilinx in stand-by mode so I can flash the SPI. The JP1 is just jumped to ground correct I shouldn't have any problems soldering the components on the PCB I'm just confused about the bit-bang SPI

C1 = 100nf
C2 = 100nf
C3 = 100nf
C4 = 100nf
C5 = 100nf
C6 = 100nf
C7 = 100nf
C8 = 100nf
R1 = 100k
R2 = 330ohm
R3 = 4.7k
R4 = 4.7k
R5 = 10k
R6 = 10k
R7 = 180ohm
U1 = xc3s50a 100-pin
U2 = m25p40 SPI
U3 = 5v reg
U4 = 1.2v reg
HDMI connector 151-6661


^All of these are 0603 size correct
Last edited by andre104623 on Mon Jun 22, 2015 7:24 pm, edited 1 time in total.
HyperIris
Posts: 37
Joined: Fri Jul 30, 2010 12:58 pm
Location: China
Contact:

Re: Shuriken Video

Post by HyperIris » Mon Jun 22, 2015 5:20 pm

R7 is 180 ohm.

And if you can download to FPGA, I guess that you have xilinx platform cable usb or other JTAG cable, so JP1 is not necessery.
Just init JTAG chain under xilinx iMpact, and Program SPI flash via JTAG chain. It will cost you 5~~10 seconds.

Program SPI Flash is enough, download to FPGA is waste of your time.
Wild Scientist
andre104623
Posts: 694
Joined: Wed May 07, 2014 2:24 pm

Re: Shuriken Video

Post by andre104623 » Mon Jun 22, 2015 5:23 pm

HyperIris wrote:R7 is 180 ohm.

And if you can download to FPGA, I guess that you have xilinx platform cable usb or other JTAG cable, so JP1 is not necessery.
Just init JTAG chain under xilinx iMpact, and Program SPI flash via JTAG chain. It will cost you 5~~10 seconds.

Program SPI Flash is enough, download to FPGA is waste of your time.
Thank you for the info. I have a xilinx USB platform a lot like yours
happy_bunny
Posts: 106
Joined: Mon Mar 09, 2015 10:57 pm

Re: Shuriken Video

Post by happy_bunny » Mon Jun 22, 2015 8:34 pm

@HyperIris
IMO, may be 25P10 is enough (cheaper?).
good point also the 5 vreg is over kill only need 100mA drive current so a cheaper 5 vreg can be used as well. You may want to fit a 1uF cap across J1 so that there is a small time delay between the serial flash starting up and the FPGA requesting data. Dont think its a real issue but just in case.

@andre104623

the resistors / caps are 0805 and the farnell part number for that fpga is 167-1088
andre104623
Posts: 694
Joined: Wed May 07, 2014 2:24 pm

Re: Shuriken Video

Post by andre104623 » Tue Jun 23, 2015 3:30 pm

Ok PCB's ordered from OSH-park and parts are ordered from digikey. Once its built and confirmed working I can do some testing with it finally and a video. I would like to see how it performs since now I'm going to have the chance at the GCvideo-lite again. Did you ever find out if the SPDIF signal will fit in the FPGA you used? I got to say I never used 0805 size caps before
HyperIris
Posts: 37
Joined: Fri Jul 30, 2010 12:58 pm
Location: China
Contact:

Re: Shuriken Video

Post by HyperIris » Wed Jun 24, 2015 1:47 am

AFAIK, the audio from GC's Digitial port is some kind of "I2S like" data stream, so I think merge it into the GCVideoLite(Shuriken Video) is possible.

(I'm not familiar with DVI/HDMI spec so I can't work at this issue)

here is a HDMI Audio project for reference:
https://gitlab.com/lantti/hdmi_proto/tree/hdmi-proto
Wild Scientist
meneerbeer
Posts: 212
Joined: Wed Sep 03, 2014 9:13 am

Re: Shuriken Video

Post by meneerbeer » Wed Jun 24, 2015 12:18 pm

HyperIris wrote:AFAIK, the audio from GC's Digitial port is some kind of "I2S like" data stream, so I think merge it into the GCVideoLite(Shuriken Video) is possible.

(I'm not familiar with DVI/HDMI spec so I can't work at this issue)

here is a HDMI Audio project for reference:
https://gitlab.com/lantti/hdmi_proto/tree/hdmi-proto
Unseen is working on audio and if he decides to stop for whatever reason I am planning to have a look at it (too busy with school currently :cry:). The code is already there, it is now just a matter of cleanly implementing it for GCVideo.
HyperIris
Posts: 37
Joined: Fri Jul 30, 2010 12:58 pm
Location: China
Contact:

Re: Shuriken Video

Post by HyperIris » Wed Jun 24, 2015 1:42 pm

meneerbeer wrote:
HyperIris wrote:AFAIK, the audio from GC's Digitial port is some kind of "I2S like" data stream, so I think merge it into the GCVideoLite(Shuriken Video) is possible.

(I'm not familiar with DVI/HDMI spec so I can't work at this issue)

here is a HDMI Audio project for reference:
https://gitlab.com/lantti/hdmi_proto/tree/hdmi-proto
Unseen is working on audio and if he decides to stop for whatever reason I am planning to have a look at it (too busy with school currently :cry:). The code is already there, it is now just a matter of cleanly implementing it for GCVideo.
Happy to hear that !

:lol: :lol: :lol:
Wild Scientist
meneerbeer
Posts: 212
Joined: Wed Sep 03, 2014 9:13 am

Re: Shuriken Video

Post by meneerbeer » Wed Jun 24, 2015 2:22 pm

I think you can leave away some of the pull up resistors by the way. If I check the datasheet then it states that the Spartan 3A already has internal pull ups on the configuration pins during configuration. Another design I have seen does not use any pull up resistors either.

Obviously it does not hurt to have them in there for your prototype, but if you want to save on space, leaving them out might help a bit. You can try to see if your circuit still works if you remove some of the resistors on your current design.

I am currently working on a design that fits completely inside the GC. The idea is to use a small breakout board that is attached to the bottom of the GC PCB. To the breakout board you solder all video/audio signals and using an FPC you can then connect it to the real PCB. I was first thinking of making a quick solder board, but that seems a bit difficult, so now you need to solder wires and I guess glue or tape the board.
happy_bunny
Posts: 106
Joined: Mon Mar 09, 2015 10:57 pm

Re: Shuriken Video

Post by happy_bunny » Wed Jun 24, 2015 9:55 pm

@meneerbeer

thanks dude which pull up are you talking about R3 and R4 4.7K ?

just pulled in the SPDIF vhdl code from the main branch (unseens code) compiles ok will test that the video stuff still works tomorrow and upload the newer code if all looks ok, cant really test the audio side though :-(.
meneerbeer
Posts: 212
Joined: Wed Sep 03, 2014 9:13 am

Re: Shuriken Video

Post by meneerbeer » Thu Jun 25, 2015 7:08 am

Yes, R3 and R4, but I think some others as well. Here you can find someone else's schematic. He does not seem to use pull ups anywhere. Also not for the CS pin of the flash memory.

I am also not sure about R7. I think you can connect it directly to +5V. That is what I see as recommended in datasheets for HDMI transmitter ICs.

If you give leaving out some of the resistors a try, be sure to post your results. :)
User avatar
Unseen
Posts: 190
Joined: Fri Jul 04, 2014 11:52 am

Re: Shuriken Video

Post by Unseen » Thu Jun 25, 2015 7:25 am

meneerbeer wrote:I am also not sure about R7. I think you can connect it directly to +5V. That is what I see as recommended in datasheets for HDMI transmitter ICs.
I think I've seen a recommendation for over-current protection on that pin somewhere, but I can't find it right now. My recommendation for a resistor for the Pluto IIx-based version of GCVideo was because there it's wired directly to the Cube's 5V and I have seen some devices that feed 5V to the line on their input ports for some reason.
If you give leaving out some of the resistors a try, be sure to post your results. :)
Compared to the cost of the FPGA, the fraction of a cent that a resistor costs doesn't reduce the cost that much though.
Asking for support by PM is anti-social. Ask in an open forum instead, so other people can benefit from the answers!
novenary
Posts: 1755
Joined: Mon Dec 30, 2013 7:50 am

Re: Shuriken Video

Post by novenary » Thu Jun 25, 2015 7:29 am

But it does help compacting the layout and reducing board size which is desirable here.
meneerbeer
Posts: 212
Joined: Wed Sep 03, 2014 9:13 am

Re: Shuriken Video

Post by meneerbeer » Thu Jun 25, 2015 7:35 am

Unseen wrote: I have seen some devices that feed 5V to the line on their input ports for some reason.
I do not understand what you mean exactly.
Compared to the cost of the FPGA, the fraction of a cent that a resistor costs doesn't reduce the cost that much though.
True. It is mostly to save space. Not that it will help much, because most resistors seem to be placed at the bottom of the board. Not having any components at the bottom of the board does make installation a bit easier usually, because you have a flat surface then. Routing will also be a bit cleaner.
User avatar
Unseen
Posts: 190
Joined: Fri Jul 04, 2014 11:52 am

Re: Shuriken Video

Post by Unseen » Thu Jun 25, 2015 7:58 am

meneerbeer wrote:
Unseen wrote: I have seen some devices that feed 5V to the line on their input ports for some reason.
I do not understand what you mean exactly.
By the specs, only output ports (signal sources, e.g. GCVideo or a Bluray player) should apply power to the 5V line on the DVI/HDMI cable. There are a few devices that ignore that rule and apply power to the 5V pin on their input port(s).
Asking for support by PM is anti-social. Ask in an open forum instead, so other people can benefit from the answers!
happy_bunny
Posts: 106
Joined: Mon Mar 09, 2015 10:57 pm

Re: Shuriken Video

Post by happy_bunny » Thu Jun 25, 2015 9:31 pm

yer I think I am going to leave the resistors on the board they are cheap / small and doing no harm. Tested video output from re-built vhdl code with audio support added from main branch looks the same as before so I upload the new code.
or use a 3.3V-compatible optical Toslink transmitter, for example a Lite-On LTDL-TX12P03, Everlight PLT133 or something from the Toshiba TOTX series
in europe where is the best place to get one of these from farnell dont stock them, I want to test the audio output (its on pin 8 of the spare connector now).
User avatar
Unseen
Posts: 190
Joined: Fri Jul 04, 2014 11:52 am

Re: Shuriken Video

Post by Unseen » Thu Jun 25, 2015 9:48 pm

happy_bunny wrote:in europe where is the best place to get one of these from farnell dont stock them, I want to test the audio output (its on pin 8 of the spare connector now).
At least here in Germany distributors like Farnell seem to think that non-commercial customers are filthy or something and refuse to deal with them, so for parts that aren't available at "hobbyist-level" electronics retailers like Reichelt or Conrad I usually order from Mouser or Digikey instead - both of them offer free shipping for orders of 65 Euro or more.
Asking for support by PM is anti-social. Ask in an open forum instead, so other people can benefit from the answers!
Locked